durusmail: mems-talk: Etching BST
Etching BST
2011-03-24
2011-03-25
2011-03-25
2011-03-26
2011-03-31
2011-03-31
Etching BST
Robert Ditizio
2011-03-31
Tony:

I have had a number of encounters with BST while holding the position of
Applications Lab Mgr at Tegal Corporation.  At the time, Tegal sold a low
pressure capacitively coupled, tri-electrode process module for difficult to
etch materials such as BST, called the HRe etcher.  This reactor was is
somewhat unique in that it can be operated in the 1-10mT range (not typical
for capacitively coupled reactors) and it also has the capability to apply
two bias frequencies to the wafer electrode: high frequency (13.56MHz)
and low frequency (450kHz).  The low pressure range helps to promote remote
of relatively non-volatile etch byproducts and the high bias power helps to
drive these byproducts from the etched surface.  (Tegal sold off the HRe
product line to the OEM Group in Arizona last year.)

I am not at liberty to give out exact starting recipes for the HRe product
line and I am not certain how much use it would be to you without an HRe
reactor.  I can, however, recommend a number of excellent papers from the
literature that should provide a great deal of insight into BST etch
mechanisms.  An argon/chlorine mixture of 2:1::Ar/Cl2 is typical with low
pressure (2-5mT, if possible) and high bias power (as high as possible).  If
you use a reactor with independent source and bias power, you may find that
the source power need not be very high but the bias power should be as high
as possible to get a reasonable etch rate, clean surfaces, and reasonable
BST/PR selectivity.  Typical resist selectivities are on the order of
0.1-0.2:1 for BST/PR etching.  A post etch rinse in DI water may also be
required to keep residual chlorine on the wafer from reacting with ambient
moisture.  Using CF4 in place of Cl2 will result in lower etch rates than
Cl2-based chemistries and lower selecitivity to photoresist.  The
fluorine-containing byproducts from the BST films have higher vapor
pressures than the chlorine-containing byproducts.

Some excellent references for BST etching from the literature are the
following:

1) Wuu et al, "Etching characteristics and plasma-induced damage of high-k
BST thin film capacitors", JVST, B19(6), Nov/Dec 2001

2) Shibano et al, "Etching of BST film by chlorine plasma, JVST A
18(5),Sept/Oct 2000

3) Kim et al, "Dry etching of BST thin films using inductively coupled
plasma", JVST A 23(4), July/Aug 2005

4) Lee et al, "Inductively coupled plasma etching of BST thin films", JVST
B16(4), July/Aug 1998

5) Kang et al, Dry etching characteristics of BST thin films in high density
CF4/Ar plasmas", Surface and Coating Technology, vol 171, pp273-279, 2003

I found that heating of the wafers during the etch had a significant
positive impact on the process results (etch rate, cleanliness, profile,
etc.)  In most etch tools that use helium for backside wafer cooling, the
pressure of the helium can be adjusted to allow the wafer temperature to
rise.  (The high bias powers required for BST etching would typically result
in rapid heating of the wafer.)  I would often adjust the helium pressure to
allow the wafer temperature to rise to the point just below the reticulation
temperature for the photoresist.  In order to make this work effectively,
full wafers are needed but you may be able to achieve the same result by
other means if you are not etching full wafers.

Also, one other piece of information that might be of help to you is the
following.  The NanoFabrication Laboratory at Penn State University (
http://www.mri.psu.edu/facilities/Nanofab/) has an HRe etch tool that is
used for etching materials such as BST that might be available to outside
users.

Regards,
Robert



On Wed, Mar 30, 2011 at 10:44 PM, Tony Price  wrote:

> Thanks Robert.  Have you patterned BST using RIE before?  If so, can you
> share your recipe with me so that I will have a starting point (i.e. RF
> power, biasing power, gas flow rates, pressure, etc.)?
>
> Tony
reply